Tutorial by Examples

A single line comment starts with two hyphens (--) and extends up to the end of the line. Example : -- This process models the state register process(clock, aresetn) begin if aresetn = '0' then -- Active low, asynchronous reset state <= IDLE; elsif rising_edge(clock) then --...
Starting with VHDL 2008, a comment can also extend on several lines. Multi-lines comments start with /* and end with */. Example : /* This process models the state register. It has an active low, asynchronous reset and is synchronized on the rising edge of the clock. */ process(clock, ...
Starting a new comment (single line or delimited) inside a comment (single line or delimited) has no effect and is ignored. Examples: -- This is a single-line comment. This second -- has no special meaning. -- This is a single-line comment. This /* has no special meaning. /* This is not a si...

Page 1 of 1