Tutorial by Examples

The following VHDL model drives signal s from two different processes. As the type of s is bit, an unresolved type, this is not allowed. -- File md.vhd entity md is end entity md; architecture arc of md is signal s: bit; begin p1: process begin s <= '0'; wait; e...
A signal which type is resolved has an associated resolution function. It can be driven by more than one VHDL process. The resolution function is called to compute the resulting value whenever a driver assigns a new value. A resolution function is a pure function that takes one parameter and return...
Some very simple and low cost hardware devices, like sensors, use a one-bit communication protocol. A single bi-directional data line connects the device to a kind of micro-controller. It is frequently pulled up by a pull-up resistor. The communicating devices drive the line low for a pre-defined du...

Page 1 of 1