Tutorial by Examples

In SQL server we have 2 types of temporary tables: ##GlobalTempTable is a type of temporary table that is sheered between all user's sessions. #LocalTempTable temp tab - it is a type of temporary table that only exists in current scope (only in actual process - you can get id of your current pro...
The following VHDL model drives signal s from two different processes. As the type of s is bit, an unresolved type, this is not allowed. -- File md.vhd entity md is end entity md; architecture arc of md is signal s: bit; begin p1: process begin s <= '0'; wait; e...
A signal which type is resolved has an associated resolution function. It can be driven by more than one VHDL process. The resolution function is called to compute the resulting value whenever a driver assigns a new value. A resolution function is a pure function that takes one parameter and return...
Some very simple and low cost hardware devices, like sensors, use a one-bit communication protocol. A single bi-directional data line connects the device to a kind of micro-controller. It is frequently pulled up by a pull-up resistor. The communicating devices drive the line low for a pre-defined du...
Algorithm PMinVertexCover (graph G) Input connected graph G Output Minimum Vertex Cover Set C Set C <- new Set<Vertex>() Set X <- new Set<Vertex>() X <- G.getAllVerticiesArrangedDescendinglyByDegree() for v in X do List<Vertex> adjacentVertices1 <- G....
In the File menu, choose the 'Import...' option. This opens up the Import dialog box, which asks for the type of project/file you want to import. For a basic Java project, choose 'Existing Projects into Workspace' from the 'General' folder. Next, select the directory where the project(s) is lo...
I won't repeat it all here: "Application Default Credentials provide a simple way to get authorization credentials for use calling Google APIs." If you can use Application Default Credentials, do. There is an extra step you will need to perform the before first using Application Default ...
Once you become familiar with the code to call one method on one Google service, you will be able to infer how to call any method on any Google service. First, we make a connection to the service using the credential object instantiated in the previous example: service = build( SERVICE_NAME, ...
You will need to be able to run Python. Python is available for Linux, Mac OS X and Windows. I recommend pip and virtualenv. Pip is the recommend tool for installing Python packages. The Google Cloud API Libraries are available as pip packages. A Virtual Environment (aka "virtualenv")...
So let's say you have a project that depends on Qt5 and you need to copy the relevant dlls to your build directory and you don't want to do it manually; you can do the following: cmake_minimum_required(VERSION 3.0) project(MyQtProj LANGUAGES C CXX) find_package(Qt5 COMPONENTS Core Gui Widgets) #...
You can also create a custom target to run when you want to perform a particular task. These are typically executables that you run to do different things. Something that may be of particular use is to run Doxygen to generate documentation for your project. To do this you can do the following in you...
A binary tree is BST if it satisfies any one of the following condition: It is empty It has no subtrees For every node x in the tree all the keys (if any) in the left sub tree must be less than key(x) and all the keys (if any) in the right sub tree must be greater than key(x). So a straightf...
Create the Root Certificate The Root Certificate (aka CA File) will be used to sign and identify your certificate. To generate it, run the command below. openssl req -nodes -out ca.pem -new -x509 -keyout ca.key Keep the root certificate and its key carefully, both will be used to sign your cert...
No Mutual SSL In this example, we might use the CA File (ca.pem) that you generated during the "How to configure a ReplicaSet to support TLS/SSL?" section. We will assume that the CA file is located in your current folder. We will assume that your 3 nodes are running on mongo1:27017, mon...
Basic identifiers consist of letters, underscores and digits and must start with a letter. They are not case sensitive. Reserved words of the language cannot be basic identifiers. Examples of valid VHDL basic identifiers: A_myId90 a_MYID90 abcDEf100_1 ABCdef100_1 The two first are equivalent ...
Quoting is important for string expansion in bash. With these, you can control how the bash parses and expands your strings. There are two types of quoting: Weak: uses double quotes: " Strong: uses single quotes: ' If you want to bash to expand your argument, you can use Weak Quoting: ...
VHDL extended identifiers are delimited by backslashes (\) and can contain letters, underscores, digits, spaces and other special characters (see the Language Reference Manual for a complete definition of special characters). The sequence of characters between backslashes can be reserved words of th...
Trigger can be specified to fire: BEFORE the operation is attempted on a row - insert, update or delete; AFTER the operation has completed - insert, update or delete; INSTEAD OF the operation in the case of inserts, updates or deletes on a view. Trigger that is marked: FOR EACH ROW is cal...
There are 2/3 options to connect components together in Talend. You should always try to use OnSubjob connectors. This saves a lot of headaches. You'll see from the examples why. What happens when you mix the connection types / What is the execution order? If OnComponent OnSubjob Keep in mi...
Startup.cs using System; using System.Collections.Generic; using System.Linq; using System.Threading.Tasks; using Microsoft.AspNetCore.Builder; using Microsoft.AspNetCore.Hosting; using Microsoft.AspNetCore.Identity.EntityFrameworkCore; using Microsoft.EntityFrameworkCore; using Microsoft.E...

Page 1198 of 1336